Low Resistivity Halogen-Free Tin Films Grown With Hydrazine At Low Temperature


(MENAFN- EIN Presswire)

BRUTE Hydrazine enables uniform nitride deposition for titanium and early transition metals at low temperature.

Very thin films grown by ALD with hydrazine on SiCOH and SiO2

Low resistivity, non-halogen films can be fabricated with organometallic precursors and BRUTE® Hydrazine, a critical step toward high-volume manufacturing of smaller scale devices with 3D structures.” — Jeffrey Spiegelman, RASIRC Founder and CEOSAN DIEGO, CA, USA, June 23, 2022 /EINPresswire.com / -- RASIRC announced new UCSD research shows that TiN film grown by low temperature ALD can yield resistivity below 220 uΩ-cm with a non-halogenated precursor on a variety of surfaces. Low resistivity is an indicator of high-quality metal film that works well as a barrier layer or conductor. For high aspect ratio features and horizontal vias, thermal ALD is needed to enable the conformality of the deposition process. Results will be presented at the upcoming International Interconnect Technology Conference (IITC ) by the Kummel group.

Prior research presented in 2021 demonstrated record low resistivity using BRUTE Hydrazine with TiCl in low temperature thermal ALD on TiN, a process not possible with ammonia at the same temperature.

New research studied non-halogenated Ti precursors under the same conditions to eliminate residual chlorine in film. Researchers tested three different metalorganic precursors with good performance between 350-425°C. All showed low resistivity and low oxygen and carbon contamination.

“Three-dimensional structures and shrinking device scale have poor tolerance for halogen compounds,” said RASIRC Founder and CEO Jeffrey Spiegelman.“Showing that low resistivity, non-halogen films can be fabricated with organometallic precursors and BRUTE® Hydrazine was an important step in moving toward high-volume manufacturing.”

Details on the research will be presented at the 2022 IEEE International Interconnect Technology Conference (IITC) held June 27-30, 2022 in San Jose, California. For more information, contact RASIRC.

BRUTE Hydrazine
BRUTE Hydrazine enables uniform nitride deposition for titanium and early
transition metals at low temperature. BRUTE Hydrazine may also be used as an atomic
hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced.
BRUTE Hydrazine has been formulated with a higher flash point for safer handling.

About RASIRC
RASIRC transforms liquids into dynamic gases that power process innovation in semiconductor and adjacent markets. By commercializing molecules for lower temperature processes, RASIRC patented technology enables the manufacture of atomic-scale oxides, nitrides, and metals. Innovative products such as BRUTE Peroxide, BRUTE Hydrazine, the Peroxidizer®, and Rainmaker® Humidification Systems are being used to develop solutions for 5G, AI, IOT, and advanced automation.

What makes RASIRC a unique industry leader is our technical expertise and commitment to solving complex industry challenges for our customers. Our team of industry experts has a proven track record of being first to market by efficiently delivering state of the art technology that reduces cost, improves quality, and dramatically improves safety. With our customers at the forefront of all we do, we continue to research, develop, and design innovative products that purify and deliver ultra-pure gas from liquids for the semiconductor and related markets. Contact RASIRC to help solve your complex problems.

Jeffrey Spiegelman
RASIRC
+1 858-259-1220
email us here

MENAFN23062022003118003196ID1104422073


Legal Disclaimer:
MENAFN provides the information “as is” without warranty of any kind. We do not accept any responsibility or liability for the accuracy, content, images, videos, licenses, completeness, legality, or reliability of the information contained in this article. If you have any complaints or copyright issues related to this article, kindly contact the provider above.